关于我们
书单推荐                   更多
新书推荐         更多
点击返回 当前位置:首页 > 中图法 【TN70 一般性问题】 分类索引
  • 基于Multisim+Proteus+Altium Designer的电路设计、仿真与制板
    • 基于Multisim+Proteus+Altium Designer的电路设计、仿真与制板
    • 贾磊磊/2024-2-1/ 电子工业出版社/定价:¥59
    • 本书从电路设计能力形成的自然规律出发,按照工程教育认证的要求,结合从事电路设计必须具备的能力,通过具体的典型案例的设计过程介绍电路设计。本书首先从电路设计中必须具备的电路基本概念、电路设计必须熟悉的电子元器件入手,然后通过不同的仿真软件绘制经典单元电路原理图并进行仿真分析,通过不同难度的经典工程案例的电路原理图及PCB设计,完成对学生电路设计能力的培养,在每个项目设计中还设计了能力形成的观察点,作为学生能力形成性评价依据。根据电路设计工程师在电路设计过程中需掌握的常用软件和设计方法,本书运用具体

    • ISBN:9787121473661
  • 手把手教你学电路仿真设计
    • 手把手教你学电路仿真设计
    • 何惠英、付少波、柳贵东 主编/2023-11-1/ 化学工业出版社/定价:¥69.8
    • 本书以电工电子技术的基础理论为编排主线,以NIMultisim14.0仿真软件为平台,精选各类典型电路进行仿真分析。全书以仿真实例为任务牵引,避开枯燥的理论知识讲解和繁杂的公式推导,通过仿真实例演示和分析过程,阐释电路原理,从而形成一个完整的电子产品设计思路,提高电路分析与设计的效率。在内容编排上,由浅入深,循序渐进,读者可以参考典型电路的仿真实例边学边做,方便读者自学和快速入门。全书共9章,主要包括三部分内容。第一部分包括第1章,简要介绍NIMultisim14.0仿真软件的发展、安装和基本操

    • ISBN:9787122437174
  • EDA技术与FPGA应用设计(第3版)
    • EDA技术与FPGA应用设计(第3版)
    • 张博/2023-10-1/ 电子工业出版社/定价:¥59.8
    • 本书主要内容包括可编程逻辑器件介绍、可编程逻辑器件EDA开发软件使用、VHDL设计方法、SOPC应用、实验和综合设计五大部分。第一部分主要介绍可编程逻辑器件的结构原理、设计流程、常用芯片特点及如何选用;第二部分重点介绍目前国内外常用EDA软件ispDesignEXPERTSystem、QuartusII、ISE、Vivado、Gowin、TangDynasty、PangoDesignSuite的开发流程及ModelSim仿真应用;第三部分重点讲述VHDL语言基础、基本描述语句及设计实例;第四部分

    • ISBN:9787121465826
  • 高频电子线路的Multisim实现
    • 高频电子线路的Multisim实现
    • 贺秀玲/2023-7-1/ 清华大学出版社/定价:¥49
    • 本书主要介绍高频信号的产生、发射、传输和接收过程,内容包括基本选频网络、高频小信号谐振电路、高频功率放大器谐振电路、正弦波振荡电路、振幅调制和解调电路、非线性调制电路设计、常用反馈控制电路设计及Multisim软件在各部分电路的实现。每章都附有小结和习题。本书可作为高等院校电子信息类与电气信息类专业的教材,也可供相关从业人员参考。

    • ISBN:9787512149724
  • 电子设计与制作:电路分析·器件选择·设计仿真·制作实例
    • 电子设计与制作:电路分析·器件选择·设计仿真·制作实例
    • 曹振华 主编/2023-1-1/ 化学工业出版社/定价:¥79.8
    • 本书结合作者多年的教学与实践经验,将电子设计的基础知识、电子电路的分析方法、元器件识别与选择技巧、仿真软件的科学应用、芯片焊接制作等电子产品设计必备的知识点融汇在丰富的制作实例中,读者可以不必纠结于模拟电路、数字电路、集成电路等专业概念,系统学到电子设计的基础知识,制作出满意的电子作品。书中结合视频讲解,详细说明了充电器类小电器、门铃类小电器、温度控制器类等实用电子电器与智能控制器件的制作过程、电子电路原理分析与调试。这些制作实例都经过调试与反复验证,电子爱好者和学习人员学得会、用得上。本书适合

    • ISBN:9787122391193
  • Mentor PADS VX 2.7(中文版)电子设计速成实战宝典
    • Mentor PADS VX 2.7(中文版)电子设计速成实战宝典
    • 龙学飞 等/2022-10-1/ 电子工业出版社/定价:¥108
    • 本书由一线工程师和大学EDA教师联合编写,介绍使用Orcad+Pads进行原理图设计、PCB设计及实战技巧。内容采用"真实产品为载体”、"项目实际流程为导向”的方式,由浅入深,从易到难,讲解电子流程化设计的思路。让读者学完就能用,学完就能有上岗竞争力。本书内容版本新、实例丰富,力求给各阶段的读者带来实实在在的电子设计干货。

    • ISBN:9787121443237
  • 硬件电路与产品可靠性设计
    • 硬件电路与产品可靠性设计
    • 朱波/2022-9-1/ 清华大学出版社/定价:¥69
    • 本书作者长期工作在研发一线,结合自己多年设计经验编写本书,从硬件电路和产品设计等方面系统地论述了产品可靠性。全书共分为6章:第1章是器件选型可靠性设计,详细讲述了器件选型原则、器件失效分析、元器件筛选方法、供应商管理方法;第2章从电路简化设计、接口防护、电路耐环境设计等方面阐述了硬件可靠性设计;第3章梳理了产品的硬件测试,分别讲述了信号质量测试、信号时序测试、硬件功能测试和硬件性能测试;第4章叙述了PCB可靠性设计,详细讲解了PCB器件布局和PCB走线设计;第5章从研发过程可靠性评审来解读产品可

    • ISBN:9787302613725
  • EDA产教研融合之路
    • EDA产教研融合之路
    • 周祖成/2022-9-1/ 电子工业出版社/定价:¥138
    • 集成电路产业是一个涉及设计、制造、封测、材料和设备的产业链,而EDA/IP是随着实现电子信息系统的超大规模电路集成、片上系统集成和封装3D集成发展起来的,并成为了集成电路产业发展重要支撑的工业软件!本书汇集国内EDA产教研各界英才的心血之作,全面地介绍了国内EDA/IP产业在数/模集成电路的设计、制造、设备及工艺方面的进展与突破,以及它们的EDA/IP工具在国际市场应用的前景。AI和ToP的高速发展给数据、算法和算力带来巨大的压力,也增加了对工业软件EDA/IP的需求。但归根到底是对EDA/IP

    • ISBN:9787121442254
  • 全国大学生电子设计竞赛备赛指南与案例分析——基于立创EDA
    • 全国大学生电子设计竞赛备赛指南与案例分析——基于立创EDA
    • 李胜铭/2021-5-1/ 电子工业出版社/定价:¥79
    • 本书根据编著者多年参与全国大学生电子设计竞赛赛前培训与指导经验,从实用性和先进性出发,遵循由浅入深、循序渐进的原则,较系统地讲解了参加电子设计竞赛所需的相关基础与专题实例。全书主要内容包括:全国大学生电子设计竞赛概述,基本电源电路设计,最小系统与常见接口电路设计,运算放大器与传感器、驱动器电路设计,FPGA设计及实例解析,滤波器设计及实例解析,射频放大器设计及实例解析,混频器设计及实例解析,直接数字频率合成器设计及实例解析,锁相环设计及实例解析,立创EDA应用设计,简易电路特性测试仪系统—201

    • ISBN:9787121409851
首页 1 尾页